Sign in

16.2 eDRAM-CIM: Compute-In-Memory Design with Reconfigurable Embedded-Dynamic-Memory Array Realizing Adaptive Data Converters and Charge-Domain Computing.

Shanshan XieCan NiAseem SayalPulkit JainFatih HamzaogluJaydeep P. Kulkarni
Published in: ISSCC (2021)
Keyphrases