Login / Signup

Simultaneous Interconnect Delay and Crosstalk Noise Optimization through Gate Sizing Using Game Theory.

Narender HanchateNagarajan Ranganathan
Published in: IEEE Trans. Computers (2006)
Keyphrases