Sign in

Cost Estimation for Configurable Model-Driven SoC Designs Using Machine Learning.

Lorenzo ServadeiEdoardo MoscaKeerthikumara DevarajegowdaMichael WernerWolfgang EckerRobert Wille
Published in: ACM Great Lakes Symposium on VLSI (2020)
Keyphrases