Login / Signup

DRC Violation Prediction with Pre-global-routing Features Through Convolutional Neural Network.

Jhen-Gang LinYu-Guang ChenYun-Wei YangWei-Tse HungCheng-Hong TsaiDe-Shiun FuMango Chia-Tso Chao
Published in: ACM Great Lakes Symposium on VLSI (2023)
Keyphrases