• search
    search
  • reviewers
    reviewers
  • feeds
    feeds
  • assignments
    assignments
  • settings
  • logout

Layout Resynthesis by Applying Design-for-manufacturability Guidelines to Avoid Low-coverage Areas of a Cell-based Design.

Naixing WangIrith PomeranzSudhakar M. ReddyArani SinhaSrikanth Venkataraman
Published in: ACM Trans. Design Autom. Electr. Syst. (2019)
Keyphrases