Sign in

AMF-Placer 2.0: Open Source Timing-driven Analytical Mixed-size Placer for Large-scale Heterogeneous FPGA.

Tingyuan LiangGengjie ChenJieru ZhaoSharad SinhaWei Zhang
Published in: CoRR (2022)
Keyphrases