Login / Signup

Thermal-aware P/G TSV planning for IR drop reduction in 3D ICs.

Zuowei LiYuchun MaQiang ZhouYici CaiYuan XieTingting Huang
Published in: Integr. (2013)
Keyphrases