Sign in

14.5 Envision: A 0.26-to-10TOPS/W subword-parallel dynamic-voltage-accuracy-frequency-scalable Convolutional Neural Network processor in 28nm FDSOI.

Bert MoonsRoel UytterhoevenWim DehaeneMarian Verhelst
Published in: ISSCC (2017)
Keyphrases