Sign in

MCXplore: Automating the Validation Process of DRAM Memory Controller Designs.

Mohamed HassanHiren D. Patel
Published in: IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. (2018)
Keyphrases
  • main memory
  • data sets
  • real time
  • process model
  • memory usage
  • data structure
  • control system
  • fuzzy logic
  • sufficient conditions
  • past experience