Sign in

XPoint cache: scaling existing bus-based coherence protocols for 2D and 3D many-core systems.

Ronald G. DreslinskiThomas ManvilleKorey SewellReetuparna DasNathaniel Ross PinckneySudhir SatpathyDavid T. BlaauwDennis SylvesterTrevor N. Mudge
Published in: PACT (2012)
Keyphrases