Login / Signup

HaDeS: architectural synthesis for heterogeneous dark silicon chip multi-processors.

Yatish TurakhiaBharathwaj RaghunathanSiddharth GargDiana Marculescu
Published in: DAC (2013)
Keyphrases