Login / Signup

NoCAlert: An On-Line and Real-Time Fault Detection Mechanism for Network-on-Chip Architectures.

Andreas ProdromouAndreas PanteliChrysostomos NicopoulosYiannakis Sazeides
Published in: MICRO (2012)
Keyphrases
  • detection mechanism
  • real time
  • network on chip
  • low cost
  • routing algorithm
  • interconnection networks
  • multistage
  • end to end