• search
    search
  • reviewers
    reviewers
  • feeds
    feeds
  • assignments
    assignments
  • settings
  • logout

Archborn: an open source tool for automated generation of chip heterogeneous multiprocessor architectures.

Sen MaHongyuan DingMiaoqing HuangDavid L. Andrews
Published in: ReConFig (2015)
Keyphrases