• search
    search
  • reviewers
    reviewers
  • feeds
    feeds
  • assignments
    assignments
  • settings
  • logout

Prototyping a Configurable Cache/Scratchpad Memory with Virtualized User-Level RDMA Capability.

George KalokerinosVassilis PapaefstathiouGeorge NikiforosStamatis G. KavadiasXiaojun YangDionisios N. PnevmatikatosManolis Katevenis
Published in: Trans. High Perform. Embed. Archit. Compil. (2019)
Keyphrases