Sign in

Selective Flip-Flop Optimization for Reliable Digital Circuit Design.

Mohammad Saber GolanbariSaman KiamehrMojtaba EbrahimiMehdi Baradaran Tahoori
Published in: IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. (2020)
Keyphrases
  • circuit design
  • design automation
  • optimization algorithm
  • digital circuits
  • optimization problems
  • case study
  • real time
  • computer vision
  • high speed
  • input output
  • multiple input