Login / Signup

Layout-Aware Scan Chain Reorder for Skewed-Load Transition Test Coverage.

Sying-Jyan WangKuo-Lin PengKatherine Shu-Min Li
Published in: ATS (2006)
Keyphrases
  • load balancing
  • test suite
  • databases
  • real time
  • neural network
  • social networks
  • class distribution
  • layout design