Login / Signup

Optimal voltage allocation techniques for dynamically variable voltage processors.

Woo-Cheol KwonTaewhan Kim
Published in: DAC (2003)
Keyphrases