Sign in

A Low-Power Sparse Convolutional Neural Network Accelerator With Pre-Encoding Radix-4 Booth Multiplier.

Quan ChengLiuyao DaiMingqiang HuangAo ShenWei MaoMasanori HashimotoHao Yu
Published in: IEEE Trans. Circuits Syst. II Express Briefs (2023)
Keyphrases