Login / Signup

Network flow-based simultaneous retiming and slack budgeting for low power design.

Bei YuSheqin DongYuchun MaTao LinYu WangSong ChenSatoshi Goto
Published in: ASP-DAC (2011)
Keyphrases