Login / Signup

FPGA implementation of a configurable cache/scratchpad memory with virtualized user-level RDMA capability.

George KalokerinosVassilis PapaefstathiouGeorge NikiforosStamatis G. KavadiasManolis KatevenisDionisios N. PnevmatikatosXiaojun Yang
Published in: ICSAMOS (2009)
Keyphrases