Sign in

BROOM: An Open-Source Out-of-Order Processor With Resilient Low-Voltage Operation in 28-nm CMOS.

Christopher CelioPi-Feng ChiuKrste AsanovicBorivoje NikolicDavid A. Patterson
Published in: IEEE Micro (2019)
Keyphrases
  • low voltage
  • open source
  • cmos technology
  • high speed
  • case study
  • e learning
  • random access memory