Login / Signup

ARTEMIS: An Aging-Aware Runtime Application Mapping Framework for 3D NoC-based Chip Multiprocessors.

Nishit Ashok KapadiaVenkata Yaswanth RapartiSudeep Pasricha
Published in: NOCS (2015)
Keyphrases
  • main contribution
  • modular design
  • low cost
  • probabilistic model
  • application specific
  • high density
  • real time
  • web services
  • parallel implementation