Login / Signup

A 118.4 GB/s Multi-Casting Network-on-Chip With Hierarchical Star-Ring Combined Topology for Real-Time Object Recognition.

Joo-Young KimJunyoung ParkSeungjin LeeMinsu KimJinwook OhHoi-Jun Yoo
Published in: IEEE J. Solid State Circuits (2010)
Keyphrases
  • real time
  • object recognition
  • network on chip
  • high speed
  • packet switched
  • computer vision
  • low cost
  • multi processor
  • routing algorithm
  • network simulator