Sign in

PROBE3.0: A Systematic Framework for Design-Technology Pathfinding with Improved Design Enablement.

Suhyeong ChoiJinwook JungAndrew B. KahngMinsoo KimChul-Hong ParkBodhisatta PramanikDooseok Yoon
Published in: CoRR (2023)
Keyphrases
  • case study
  • data analysis
  • knowledge representation
  • path finding