Login / Signup

An 2.31uJ/Inference Ultra-Low Power Always-on Event-Driven AI-IoT SoC With Switchable nvSRAM Compute-in-Memory Macro.

Haoyang SangWenao XieGwangtae ParkHoi-Jun Yoo
Published in: IEEE Trans. Circuits Syst. II Express Briefs (2024)
Keyphrases