• search
    search
  • reviewers
    reviewers
  • feeds
    feeds
  • assignments
    assignments
  • settings
  • logout

DRAIN: distributed recovery architecture for inaccessible nodes in multi-core chips.

Andrew DeOrioKonstantinos AisoposValeria BertaccoLi-Shiuan Peh
Published in: DAC (2011)
Keyphrases