Sign in

RATT-ECC: Rate Adaptive Two-Tiered Error Correction Codes for Reliable 3D Die-Stacked Memory.

Hsing Min ChenCarole-Jean WuTrevor N. MudgeChaitali Chakrabarti
Published in: ACM Trans. Archit. Code Optim. (2016)
Keyphrases