• search
    search
  • reviewers
    reviewers
  • feeds
    feeds
  • assignments
    assignments
  • settings
  • logout

Walking pads: Fast power-supply pad-placement optimization.

Ke WangBrett H. MeyerRunjie ZhangKevin SkadronMircea R. Stan
Published in: ASP-DAC (2014)
Keyphrases