• search
    search
  • reviewers
    reviewers
  • feeds
    feeds
  • assignments
    assignments
  • settings
  • logout

CDS-RSRAM: a Reconfigurable SRAM Architecture to Reduce Read Power with Column Data Segmentation.

Han XuZiru LiFei QiaoQi WeiXinjun LiuHuazhong Yang
Published in: ISQED (2020)
Keyphrases