Login / Signup

FabScalar: composing synthesizable RTL designs of arbitrary cores within a canonical superscalar template.

Niket Kumar ChoudharySalil V. WadhavkarTanmay A. ShahHiran MayukhJayneel GandhiBrandon H. DwielSandeep NavadaHashem Hashemi Najaf-abadiEric Rotenberg
Published in: ISCA (2011)
Keyphrases
  • template matching
  • hardware description language
  • matching algorithm
  • model based diagnosis
  • design space
  • database
  • neural network
  • data mining
  • information systems