Login / Signup

Triple-Threshold Static Power Minimization in High-Level Synthesis of VLSI CMOS.

Harry I. A. ChenEdward K. W. LooJames B. KuoMarek Syrzycki
Published in: PATMOS (2007)
Keyphrases