Login / Signup

ARACompiler: a prototyping flow and evaluation framework for accelerator-rich architectures.

Yu-Ting ChenJason CongBingjun Xiao
Published in: ISPASS (2015)
Keyphrases