Login / Signup

FAUST: Design and implementation of a pipelined RISC-V vector floating-point unit.

Mate KovacLeon DragicBranimir MalnarFrancesco MinerviniOscar PalomarCarlos RojasMauro OlivieriJosip KnezovicMario Kovac
Published in: Microprocess. Microsystems (2023)
Keyphrases
  • implementation issues
  • hardware architecture
  • circuit design
  • user interface
  • case study
  • design process
  • vector space
  • design methodology
  • low power consumption
  • parallel distributed