Login / Signup

A 20-Gb/s Simultaneous Bidirectional Transceiver Using a Resistor-Transconductor Hybrid in 0.11-µm CMOS.

Yasumoto TomitaHirotaka TamuraMasaya KibuneJunji OgawaKohtaroh GotohTadahiro Kuroda
Published in: IEEE J. Solid State Circuits (2007)
Keyphrases
  • high speed
  • low cost
  • ultra low power
  • low power
  • power consumption
  • hybrid learning
  • frequency response
  • database
  • control system
  • power supply
  • cmos technology
  • analog vlsi
  • vlsi circuits