Login / Signup

Current source based standard-cell model for accurate timing analysis of combinational logic cells.

Mohamed MahmoudAmr WassalAlaa B. El-RoubyRafik Guindi
Published in: ICECS (2013)
Keyphrases