Sign in

A Hardware Accelerator for Computing an Exact Dot Product.

Jack KoenigDavid BiancolinJonathan BachrachKrste Asanovic
Published in: ARITH (2017)
Keyphrases
  • dot product
  • field programmable gate array
  • kernel function
  • hardware implementation
  • embedded systems
  • gaussian kernels
  • similarity function
  • scalar product
  • learning algorithm
  • image processing
  • feature space