Sign in

HotSpot: A Compact Thermal Modeling Methodology for Early-Stage VLSI Design.

Wei HuangShougata GhoshSivakumar VelusamyKarthik SankaranarayananKevin SkadronMircea R. Stan
Published in: IEEE Trans. Very Large Scale Integr. Syst. (2006)
Keyphrases
  • early stage
  • vlsi design
  • design methodology
  • evolutionary algorithm
  • database
  • early diagnosis