• search
    search
  • reviewers
    reviewers
  • feeds
    feeds
  • assignments
    assignments
  • settings
  • logout

Snafu: An Ultra-Low-Power, Energy-Minimal CGRA-Generation Framework and Architecture.

Graham GobieskiAhmet Oguz AtliKenneth MaiBrandon LuciaNathan Beckmann
Published in: ISCA (2021)
Keyphrases