Sign in

SWIFT: A Low-Power Network-On-Chip Implementing the Token Flow Control Router Architecture With Swing-Reduced Interconnects.

Jacob PostmanTushar KrishnaChristopher EdmondsLi-Shiuan PehPatrick Chiang
Published in: IEEE Trans. Very Large Scale Integr. Syst. (2013)
Keyphrases