• search
    search
  • reviewers
    reviewers
  • feeds
    feeds
  • assignments
    assignments
  • settings
  • logout

GATSPI: GPU accelerated gate-level simulation for power improvement.

Yanqing ZhangHaoxing RenAkshay SridharanBrucek Khailany
Published in: DAC (2022)
Keyphrases
  • higher level
  • gpu accelerated
  • finite element
  • real time
  • significant improvement
  • neural network
  • image sequences
  • wireless sensor networks
  • power consumption
  • simulation model
  • sequence alignment