• search
    search
  • reviewers
    reviewers
  • feeds
    feeds
  • assignments
    assignments
  • settings
  • logout

The 2023 MLCAD FPGA Macro Placement Benchmark Design Suite and Contest Results.

Ismail BustanyGrigor GasparyanAmit GuptaAndrew B. KahngMeghraj KalaseWuxi LiBodhisatta Pramanik
Published in: MLCAD (2023)
Keyphrases
  • case study
  • hardware design
  • user interface
  • building blocks
  • computer aided
  • hardware architecture
  • verilog hdl
  • real time
  • high speed
  • design space