• search
    search
  • reviewers
    reviewers
  • feeds
    feeds
  • assignments
    assignments
  • settings
  • logout

GATSPI: GPU Accelerated Gate-Level Simulation for Power Improvement.

Yanqing ZhangHaoxing RenAkshay SridharanBrucek Khailany
Published in: CoRR (2022)
Keyphrases
  • gpu accelerated
  • real time
  • finite element
  • simulation model
  • higher level
  • mathematical model
  • power consumption
  • neural network
  • computer vision
  • three dimensional
  • similarity measure