Login / Signup

ARTEMIS: An Aging-Aware Runtime Application Mapping Framework for 3D NoC-Based Chip Multiprocessors.

Venkata Yaswanth RapartiNishit Ashok KapadiaSudeep Pasricha
Published in: IEEE Trans. Multi Scale Comput. Syst. (2017)
Keyphrases
  • application specific
  • evolutionary algorithm
  • low cost