• search
    search
  • reviewers
    reviewers
  • feeds
    feeds
  • assignments
    assignments
  • settings
  • logout

DiffTune: Optimizing CPU Simulator Parameters with Learned Differentiable Surrogates.

Alex RendaYishen ChenCharith MendisMichael Carbin
Published in: MICRO (2020)
Keyphrases