Login / Signup

CoQoS: Coordinating QoS-aware shared resources in NoC-based SoCs.

Bin LiLi ZhaoRavi R. IyerLi-Shiuan PehMichael LeddigeMichael EspigSeung Eun LeeDonald Newell
Published in: J. Parallel Distributed Comput. (2011)
Keyphrases