Login / Signup

A TSV-cross-link-based approach to 3D-clock network synthesis for improved robustness.

Rickard EwetzAnirudh UdupaGanesh SubbarayanCheng-Kok Koh
Published in: ACM Great Lakes Symposium on VLSI (2014)
Keyphrases